Загрузка страницы

Verilog курс с HDLBits! Создал систему подсчета голосов "на лету"! 2024 01 27

Тема на KONTAKTS http://kontakts.ru/showthread.php/40673
===
Telega https://t.me/fpga_cpld
===
Видео по теме Verilog практический курс
===
...
26. Verilog курс с HDLBits! Иерархия в Verilog, научился собирать сумматоры! 2023 12 21 https://youtu.be/YOK8a1Oo6k0
27. Verilog курс с HDLBits! Иерархия в Verilog, описал carry-save adder на раз - два! 2023 12 22 https://youtu.be/PIo7AlAJV7M
28. Verilog курс с HDLBits! Иерархия в Verilog,за 5 минут расписал Adder–subtractor! 2023 12 23 https://youtu.be/LNzlooV0cSI
29. Verilog курс с HDLBits! Узнал как описать комбинационную логику с always или assign! 2023 12 24 https://youtu.be/OtNcJn21EcE
30. Verilog курс с HDLBits! Реализовал 3 типа назначений в Verilog! 2023 12 25 https://youtu.be/RKUv2An7xK8
31.Verilog курс с HDLBits! Разобрался с IF statement в Verilog! 2023 12 26 https://youtu.be/CiBIBk36Ykg https://youtu.be/CiBIBk36Ykg
32. Verilog курс с HDLBits! Разобрался как избежать создания защелок! 2023 12 27 https://youtu.be/J3vK_AwjHck
33. Verilog курс с HDLBits! Освоил case statment! 22023 12 28 https://youtu.be/AU2uv8XVx9g
34. Verilog курс с HDLBits! Написал priority encoder ! 2023 12 31 https://youtu.be/BJ7TvYlLNw0
35. Verilog курс с HDLBits! Научился экономить строки кода пользуясь CASEZ! 2024 01 01 https://youtu.be/BWedU8pIANY
36. Verilog курс с HDLBits! Предварительная установка значений в always борется с latches! 2024 01 02 https://youtu.be/kp18fXnKdNk
37. Verilog курс с HDLBits! Опять изучил и поюзал, что такое ternary conditional operator? 2024 01 03 https://youtu.be/2-OpbGeSALk
38. Verilog курс с HDLBits! Вау! Оказывается есть операторы сокращения в verilog! 2024 01 04 https://youtu.be/Dfm7ldS8S0c
39. Verilog курс с HDLBits! XORю комбинационную схему со 100 входами в verilog в 1 строку! 2024 01 05 https://hdlbits.01xz.net/wiki/Gates100
40. Verilog курс с HDLBits! Узнал про bit reverse с помощью for! 2024 01 06 https://youtu.be/jOLBaX25utc
41. Verilog курс с HDLBits! Счетчик единиц в 4 строки на verilog! 2024 01 07 https://youtu.be/sJj4RS-zZ8U
42. Verilog курс с HDLBits! Написал 100 bit двоичный полный сумматор use generate! 2024 01 08 https://youtu.be/x3RJNhdXPJs
43. Verilog курс с HDLBits! Узнал, что BCD это двоично-десятичное представление числа! 2024 01 09 https://youtu.be/6-kHCWblROk
44. Verilog курс с HDLBits! Ура! Сдал экзамен без шпаргалки! Соединил in и out! 2024 01 10 07 16 29 https://youtu.be/sUCccUyUizM
45. Verilog курс с HDLBits! Щелкаю задачки на раз два! Заземлил input ! 2024 01 12 https://youtu.be/Rta_mecW_1s
46. Verilog курс с HDLBits! Создал описание NOR на Veriloge. Я готов к работе с FPGA! 2024 01 14 https://youtu.be/JPxpD-V-Jnc
47. Verilog курс с HDLBits! Решаю экзаменационные задачи по Verilog за 1 минуту! 2024 01 15 https://youtu.be/NfWQs7EM2rI
48. Verilog курс с HDLBits! Решил сложную экзаменационную задачу! 2024 01 16 https://youtu.be/fsiYaOlTscs
49. Verilog курс с HDLBits! Экзамены закончились, пошли будни. Изучил логические вентили! 2024 01 18 https://youtu.be/L7lQuWmJQyw
50. Verilog курс с HDLBits! Разработал функциональный аналог K155ЛА1 по-ходу! 2024 01 19 https://youtu.be/1N4xe4vRuI8
51. Verilog курс с HDLBits! Узнал как описать таблицу истинности логическими уравнениями! 2024 01 20 https://youtu.be/5inHhyLZeqg
52. Verilog курс с HDLBits! Реализовал схему сравнения на равенство двух векторов A и В! 2024 01 21 https://youtu.be/9JBU6J6zwcg
53. Verilog курс с HDLBits! Реализовал простую схему A(Z=(X^Y)&Y) ! 2024 01 21 https://hdlbits.01xz.net/wiki/Mt2015_q4a
54. Verilog курс с HDLBits! Построил схему xnor(z,x,y) по диаграмме моделирования! 2024 01 23 https://youtu.be/q57F7yROEd8
55. Verilog курс с HDLBits! Собрал схему из двух модулей A и В! Схитрил по-децки! 2024 01 24 https://hdlbits.01xz.net/wiki/Mt2015_q4
56. Verilog курс с HDLBits! Написал управление звонком мобильника! 2024 01 25https://youtu.be/WGpRjRYcrGE
57. Verilog курс с HDLBits! Описал термостат с вентилятором. 2024 01 26 12 53 07 https://youtu.be/t7y4dnGQCy4
58. Verilog курс с HDLBits! Создал систему подсчета голосов "на лету"! 2024 01 27 https://youtu.be/Ez5sr9YZflQ
59. Verilog курс с HDLBits! Узнал как можно определять состояние битов в векторе! 2024 01 28 https://youtu.be/wBCrf3PomMU
===
Руководство тут https://hdlbits.01xz.net/wiki/Main_Page
HDLBits was created by Henry Wong
Лицензии Creative Commons Attribution-ShareAlike

Видео Verilog курс с HDLBits! Создал систему подсчета голосов "на лету"! 2024 01 27 канала KONTAKT`S
Показать
Комментарии отсутствуют
Введите заголовок:

Введите адрес ссылки:

Введите адрес видео с YouTube:

Зарегистрируйтесь или войдите с
Информация о видео
27 января 2024 г. 17:49:45
00:12:52
Другие видео канала
Демонстрация IoT Starter Kit с Nanо 328 и примером из набора Светофор 13 декабря 2022 г.Демонстрация IoT Starter Kit с Nanо 328 и примером из набора Светофор 13 декабря 2022 г.HY_M302_UNO_LCD1602_IR_LED_BLTh новое меню  ИК пульт и управление LED 10  октября 2022 г.HY_M302_UNO_LCD1602_IR_LED_BLTh новое меню ИК пульт и управление LED 10 октября 2022 г.Теплица 5-го поколения теперь с освещением на солнечных батареях 23 июня 2024 г.Теплица 5-го поколения теперь с освещением на солнечных батареях 23 июня 2024 г.Кузнечик это друг или враг садовода? Кто знает подскажите, люди добрые! 24 июня 2023 г.Кузнечик это друг или враг садовода? Кто знает подскажите, люди добрые! 24 июня 2023 г.АНОНС! Комплект датчиков 37 в 1 SENSOR KIT 28 декабря 2022 г.АНОНС! Комплект датчиков 37 в 1 SENSOR KIT 28 декабря 2022 г.Установка Pico в Arduino IDE и заливаем первую программу за 1 мин. 2022 12 01Установка Pico в Arduino IDE и заливаем первую программу за 1 мин. 2022 12 01Лесная сказка. Земляника альпийская.Лесная сказка. Земляника альпийская.Зенга Зенгана 🍓🍓🍓🍓 Неделя после пересадки в грунт.Зенга Зенгана 🍓🍓🍓🍓 Неделя после пересадки в грунт.🍓 🍓 🍓 Фреска. первое видео. Старт истории. 30 апреля 2023 г.🍓 🍓 🍓 Фреска. первое видео. Старт истории. 30 апреля 2023 г.OPEN-SMART Rich UNO R3 Lesson 1: Touch and soundOPEN-SMART Rich UNO R3 Lesson 1: Touch and soundНаслаждение клубникой, клубничным лимонадом и кристально чистой водой в летнюю жару! 20 июня 2023 г.Наслаждение клубникой, клубничным лимонадом и кристально чистой водой в летнюю жару! 20 июня 2023 г.LED Badge c софтом на App  и комп под Виндос. 17 января 2023 г.LED Badge c софтом на App и комп под Виндос. 17 января 2023 г.#RaspberryPi #Pico #StarterKit. Project 03:External LED flashing 29 января 2023 г.#RaspberryPi #Pico #StarterKit. Project 03:External LED flashing 29 января 2023 г.Цветущий ревень. Потрясающий вид. 20 мая 2023 г.Цветущий ревень. Потрясающий вид. 20 мая 2023 г.#RaspberryPi #Pico #StarterKit. Project 02:Onboard LED flashing 28 января 2023 г.#RaspberryPi #Pico #StarterKit. Project 02:Onboard LED flashing 28 января 2023 г.Жимолость доцветает или уже отцвела. В этом году начнем размножать жимолость. 20 мая 2023 г.Жимолость доцветает или уже отцвела. В этом году начнем размножать жимолость. 20 мая 2023 г.Кабрилло 🍓🍓🍓 Странный саженец... выбраковка. Посмотрим упустит ли свой шанс?Кабрилло 🍓🍓🍓 Странный саженец... выбраковка. Посмотрим упустит ли свой шанс?IoT Traning Kit ESP8266 Node MCU The End & The Start 3 января 2023 г.IoT Traning Kit ESP8266 Node MCU The End & The Start 3 января 2023 г.Кабачок выращиваем в бочке. Продолжение...Кабачок выращиваем в бочке. Продолжение...АНОНС! 8x8x 8 LED Cube светильник  #DIYKit #8x8x8 #LEDCube #KONTAKTS 23  февраля 2023 г.АНОНС! 8x8x 8 LED Cube светильник #DIYKit #8x8x8 #LEDCube #KONTAKTS 23 февраля 2023 г.Демонстрация IoT Starter Kit с Nanо 328 и  примером из набора DS1307(L10) 17 декабря 2022 г.Демонстрация IoT Starter Kit с Nanо 328 и примером из набора DS1307(L10) 17 декабря 2022 г.
Яндекс.Метрика